1. 程式人生 > >Vivado2015.4使用教程(一個完成工程的建立)

Vivado2015.4使用教程(一個完成工程的建立)

進行 ini constrain 技術分享 一點 .html 好的 con blog

技術分享

雙擊桌面的vivado圖標,(可能有點慢)

技術分享

彈出主菜單界面,點擊create new project

技術分享

這是介紹界面,next~

技術分享

添加好工程名,和工程位置,next~

技術分享

選擇rtl Project,next~

技術分享

選擇板卡型號,我這裏使用的是A-7系列的basys3,用戶根據自己的板卡型號自定義,next~

技術分享

這一面是總結,finish~

技術分享

左邊這一欄,我們用到哪裏解釋哪裏,首先,點擊add source 添加verilog HDL文件

技術分享

選擇新建一個設計文件,next~

技術分享

左邊是添加已有的文件,右邊是新建一個verilog HDL文件,我們前面沒有文件,所以選擇新建一個新的文件

技術分享

上面是文件類型,我用的是verilog,添加文件名,我這裏用的是流水燈,文件名為led_water

技術分享

添加成功,點擊finish

技術分享

點擊OK

技術分享

Yes

技術分享

雙擊這個文件,打開編寫

技術分享

這是一個流水燈工程

技術分享

編寫完成後,點擊如圖所示可以,編譯文件,也可查看工程的RTL圖

技術分享

這是生成的RTL圖,雙擊如圖所示部分可以將窗口放大。

技術分享

原理圖出來後,說明該工程沒有語法錯誤,然後再次add source,選擇add or create simulation source添加測試文件,

技術分享

同樣選擇新建一個文件

技術分享

技術分享

技術分享

Yes

技術分享

找到到tb_led_water文件雙擊打開

技術分享

編寫測試文件

技術分享

點擊run simulation 點擊 run behavioral simulation 進行仿真

技術分享

註意,仿真前把測試文件改小一點,不然跑的太慢,

技術分享

點擊run-all讓流水燈跑起來,

技術分享

可以看到流水燈的數值在變化,說明設置正確。然後要做的是下板子仿真

技術分享

點擊add source 添加約束文件,add constraints

技術分享

新建一個引腳約束文件,OK

技術分享

點擊新建好的文件,

技術分享

將約束文件內容復制進去,

技術分享

最後點擊大綜合,對工程進行綜合,綜合完成後就可以下板子了。(下板子的時候要把測試時候改的代碼改回來)

技術分享

點擊open target——>auto connect,會自動連接設備,或者直接連接recent target,直接選擇你的設備

技術分享

點擊program device

技術分享

點擊program。即可下載成功。

轉載請註明出處:NingHeChuan(寧河川)

原文地址:http://www.cnblogs.com/ninghechuan/p/6882077.html

Vivado2015.4使用教程(一個完成工程的建立)