1. 程式人生 > >加密自己的設計:Quartus導出網表文件

加密自己的設計:Quartus導出網表文件

編譯 iter navi pin ESS 項目 講解 pro XP

當項目過程中,不想給甲方源碼時,該如何?我們可以用網表文件qxp或者vqm對資源進行保護。

下面講解這兩個文件的具體生成步驟:

一、基本概念

QuartusII的qxp文件為QuartusII Exported Partition,用於創建綜合或者PAR之後的網表文件。

QuartusII的vqm文件為verilog quartusII mapping,只能保存綜合後,PAR前的綜合結果。

二、qxp文件生成

1. 在quartusII的Project Navigator中選中欲創建qxp的module文件,右擊,選擇“Design Partition > Set as Design Partition”。

2. 綜合整個工程,如果想出PAR後的qxp就需要編譯整個工程。

3. 點擊菜單“Project”,選擇“Export Design Partition”。

4. 在彈出窗口中選擇想要的層次和網表選項,即可導出qxp文件。

  註:使用時,仍需右擊選擇“Set as Design Partition”,否則,有時候會出錯,只是有時候而已哦。

    通過RTL查看器看到的qxp模塊是空的,但PAR後即可看到裏面的東東了。

或者可以在settings裏勾選自動導出分區網表。

三、vqm文件生成

1. 創建以相應module為頂層的工程。

2. 點擊菜單“Process”,選擇“Start > Start VQM Writer”,即可得到vqm文件。

  註:有的器件不支持vqm哦,此時只能用qxp了。

加密自己的設計:Quartus導出網表文件