1. 程式人生 > >verilog仿真文件編寫

verilog仿真文件編寫

timescale pad verilog dot 輸出 init code 停止 -c

verilog仿真文件大概框架:

·timescale 1ns/1ps           //但需要時間
module   xxx_tb();        //仿真文件不需要輸入和輸出,
intput clk;
reg   [width:0]  xx;             //根據需求定義激勵及位寬度

initial
    begin

    #xx   ......        //用延時命令
    $stop;             //系統命令,停止仿真
    end
............................        //實例化模塊;

verilog仿真文件編寫