1. 程式人生 > >vivado中使用ROM IP核

vivado中使用ROM IP核

-- .com inf init lock amp 寬度 project img

1.在project中選擇IP Catalog

在IP Catalog中選擇---->Block Memory Generator------>RAMs&ROMs&BRAM-------->Block Memory Generator

技術分享圖片

2.basic 選擇Single Port ROM

技術分享圖片

3.設置PORT A的寬度和深度

技術分享圖片

4.Load Init File 點擊OK

技術分享圖片

vivado中使用ROM IP核