1. 程式人生 > >基於HLS實現FIR濾波器--轉載我之前的blog的內容

基於HLS實現FIR濾波器--轉載我之前的blog的內容

 

受人之拖要做個HLS的高階綜合,找了半天,一開始打算做一個FFT,但是一同操作下來,發現難度太大了,回來做一個簡單的硬體的矩陣相乘,發現難度太低,老師不答應做,於是挑了一個FIR濾波器,於是有開始拾起本科時學的濾波器原理,發現好多都忘記了因此接來下就做一個FIR濾波器,下面是我的任務書:

設計(論文)題目:基於HLS實現FIR濾波器。

設計(論文)的主要內容

1)查詢並學習資料,重點是官方的參考手冊ug871-vivado-high-level-synthesis-tutorial.pdf。

2)瞭解FIR(Finite Impulse Response)濾波器的原理:有限長單位衝激響應濾波器,利用FPGA乘累加的快速演算法,可以設計出高速的FIR數字濾波器

3)編寫fir實現程式碼,和testbench來執行C-RTL協同模擬,利用Xilinx Vivado HLS來實現綜合。將軟體程式碼轉成RTL級的電路。在軟體程式碼中加入一些時序約束和優化,比如新增流水線來提高資料處理的吞吐量。

4)將C-RTL協同模擬模擬實現的波形檔案匯入modelsim來觀察波形,驗證其功能。

5)最後將HLS工程打包成一個IP,以便vivado進行呼叫。