1. 程式人生 > >Vivado2017.4建立和封裝使用者IP核(使用Nexys4開發板)

Vivado2017.4建立和封裝使用者IP核(使用Nexys4開發板)

      vivado不同於ISE的設計模式,vivado提供了以IP為中心的設計流程,可以幫助設計者快速的將自己的設計和演算法轉換成可重用的IP。

IP設計流程:

一、建立用於建立IP的工程

按照這個連結建立即可,下一步對這個工程封裝成一個IP核,然後其他的工程呼叫這個自定義的ip核

https://blog.csdn.net/kenjianqi1647/article/details/84821462

二、設定定製IP的庫名和庫目錄

建立好IP工程之後

1、設定庫名和庫目錄

2、封裝定製IP的實現

(1)Tools- Create and IP Package...

 

(2)IP引數配置

按照自己的需求填寫

(3)選擇Compatibility,確認該IP核所支援的FPGA型別

(4)File Groups,可以根據自己的需求新增一些額外的檔案,如平臺測試檔案。

(5)Customization Parameters,根據自己的需求定義引數

(6)最後點選Package IP按鈕,完成ip封裝

最後關閉當前工程

三、建立用於呼叫IP的工程

1、建立新工程

    按照普通方式建立即可

2、設定包含呼叫IP的路徑

 3、建立基於IP的系統

(1)Create Block Design

 (2)新增自己設計的ip核

(3)連線

 

 (4)點選Create HDL Wrapper...

(4)綜合、新增約束檔案、實現、生成bit檔案、下載即可