1. 程式人生 > >作品交流:為什麼ModelSim模擬無波形

作品交流:為什麼ModelSim模擬無波形

Q:

nimade 163

杜老師,你好。希望你在百忙之中抽出時間幫我解決一下這個問題:

例程E5_5_FpgaASKDemodGate在ise裡啟動modelsim後,一片空白。其它的例程沒有問題。見附件。

望幫忙解決問題。謝謝了。

A:

你好。根據你的描述,其它例程能夠正確模擬,說明軟體環境安裝沒有問題。

由於你的截圖沒有Modelsim提示資訊的內容,我只能猜測原因,給出一些建議。

1)Modelsim中可以在波形視窗中新增需要觀察的訊號,你可以直接在訊號列表視窗,右鍵單擊,選擇需要觀察的訊號新增到波形視窗顯示。

2)程式中,有一個tst_AskDemod.vhd檔案,如這個檔案能能夠正確模擬,出波形。tst_top檔案僅增加了一個門限判斷模組,你可以嘗試逐級除錯,檢視問題所在。

3)可以將Modelsim軟體主介面中的資訊提示視窗圖片上傳一下,我們可以從提示資訊中找到具體的原因。

4)ISE/Modelsim工具的使用入門稍為有些繁雜,可能需要耐心瞭解,並熟練應用,以增加程式除錯效率。