1. 程式人生 > >FPGA DDR3 Demo的測試和自建模擬平臺

FPGA DDR3 Demo的測試和自建模擬平臺

 

一. Demo的測試

新建完一個DDR3的IP核後,在core的MY_DDR3_example_design的simulation資料夾裡面有README.txt

image_1cv0j96863vqmu61nf617411gjpm.png-69.5kB 
README裡面會有提示你需要有哪些步驟。 
image_1cv0jgvuq1ganc34m1997e2k59.png-57.9kB

1.開啟模擬的工程,然後選擇執行tcl指令碼tcl scripts 
image_1cv0jjhdl1l1phms1l7a1kjnuh213.png-34.5kB 
image_1cv0jirne14q3168j85d10jj1236m.png-37.4kB 
然後點選run一下tcl。


2.根據readme的提示,change Directory改變路徑到verilog / ... /mentor這個路徑下 
image_1cv0joeami6e1jsd5ob8ek11re2j.png-17.8kB 
然後執行路徑下的do檔案。


3.do完後,會提示simulation passen 模擬結束。 
image_1cv0jsblr1abh4v1r7frqb15b830.png-47.8kB

需要模擬的話,在生成IP核的時候,auto-calibration mode ————skip calibration. 
image_1cv0k24551h6c1br5tvn136g1i6e9.png-49.9kB

4.檢視模擬波形 
image_1cv0ka6s91l2o1ldm68d1331980m.png-66.1kB


image_1cv0kf9m3sil18gg8ik1p5d1o1k13.png-59.8kB

二:自建模擬平臺:

1.寫例化DDR3 IP核的頂層模組,將DDR3模組IP核例化一下。並且模板中的rst改成用系統的復位訊號。時鐘用系統的時鐘訊號。 
image_1cv4kqs177f91blqfkk12t914239.png-81.5kB 
image_1cv4kvson7u0pkm89gvmp1o2v16.png-84kB 
image_1cv4l11l01nmuddgt71f1nglb1j.png-92.3kB 
2.將sim下的mentor裡面的tcl和模擬Demo中的do檔案放到自己的目錄下(tcl不是用這裡面的tcl檔案)。 
image_1cv4l2oj71eo2r2g1p201ttp135m20.png-26kB

 
image_1cv4l7fj9s1utpcmu811p61lg12t.png-55.3kB
3.寫模擬tb檔案,模仿Demo的tb檔案就行,將ddr3_ip_example_sim.v這個檔案複製到自己的資料夾中, 
image_1cv4lasaui51uc7q8e10oo9n3a.png-32.5kB 
image_1cv4ld3m96jb1jkh1dfvr6k1r993n.png-98.9kB 
image_1cv4lg1qdmderdnhqd5djm4854.png-183.8kB
然後將模擬檔案中需要用到的檔案放到sim資料夾中。 
image_1cv4lib1b1s7qcjg13jc1i271pgt5h.png-135.7kB
4.更改tcl指令碼檔案。主要是要修改TOP_LEVEL_NAME和 
QSYS_SIMDIR這個路徑。然後將你自己寫的頂層模組新增到目錄下。因為tb檔案還包含了.sv字尾的檔案,vlog後面要+ -sv引數去編譯這個檔案。 
image_1cv4lnjpe189513kf11u71q5pa65u.png-129.5kB
image_1cv4lpgtjeof144h6nrod01ehc6b.png-139.2kB
5.修改do檔案 
將do檔案改成你自己需要觀看波形的檔名,將add wave改成你想要檢視波形的名字。 
image_1cv54uekl16485a9hak3j11hm01i.png-74.7kB

6.然後將modelsim的路徑改到你自己的路徑下,執行do檔案即可 
image_1cv55277s8rr89k11gr2lsadd2f.png-19.3kB 
7.自建模擬平臺的波形 
自建模擬平臺的波形.png-166kB