1. 程式人生 > >ISE14.7編譯模擬庫後錯誤的解決方法

ISE14.7編譯模擬庫後錯誤的解決方法

主要參考的文章:

安裝ISE14.7常見問題以及解決方法

ISE與Modelsim聯合使用的方法出現的問題

如何設定ISE與Modelsim的聯調

 

問題:

按照NIGNHECHUAN那個部落格安裝後,每次通過ISE開啟Modelsim後都會提示

這是因為他沒有把編譯庫的目錄告訴ISE

 

解決方法看第一篇文章。

點選這個Process Properties這個

然後選擇Modelsim編譯好的ISE路徑

這樣就OK了。