1. 程式人生 > >FPGA實現影象處理中的直方圖統計

FPGA實現影象處理中的直方圖統計

利用FSM,狀態機編寫程式實現直方圖統計,大意為,對影象中各個灰度級的畫素個數進行計算並統計。我現在利用RAM,把影象的灰度級當做地址輸入,然後畫素數目當做ram的內容。統計同一灰度級的畫素數目就是在雙口RAM中在同一地址進行內容的累加。

狀態機如圖所示:

其中各個狀態為:

模擬結果如圖所示:

其中用到了倍頻,倍頻方法上一個文件說過了。