1. 程式人生 > >VCS+Verdi 安裝及破解過程(CentOS7)-----FPGA開發

VCS+Verdi 安裝及破解過程(CentOS7)-----FPGA開發

VCS+Verdi 安裝及破解過程(CentOS7)-----FPGA開發

簡述

終於開始了我的FPGA 開發之路。本著梳理筆記和鍛鍊文件編寫的意圖,寫了部落格。
這篇文章講述了在CentOS7系統上VCS和Verdi的安裝和基本的環境配置。當然因為是無產階級的勞動人民,只能可憐地用用破解版。唉…
文章支援:eetop。

安裝步驟

1. 安裝檔案結構

–vcs_2016
–verdi_2016
–scl_11.9 #此程式統一管理license等破解檔案
–synopsys_installer #此程式用於安裝以上3個程式
–scl_keygen #此檔案用於生成license檔案

附上網盤連結:
vcs_2016
連結:https://pan.baidu.com/s/1SWk4TUaAqG9zgfZPKQJBqw 提取碼:ckhi
verdi_2016
連結:https://pan.baidu.com/s/1tSeup0tPo60NI0w9e8e_bA
提取碼:wnwq
scl_v11.9
連結:https://pan.baidu.com/s/1FCY8wDEPxJqs8GtDv3iN4g
提取碼:5ert
synopsys_installer
連結:https://pan.baidu.com/s/1_7F-sTfi1QvEg-XNy4IWWw
提取碼:elml
scl_keygen
連結:https://pan.baidu.com/s/1Zc-TaEiPpb9Zci1Kj7chKA


提取碼:97ee

2. 解壓相關壓縮包

檔案大部分是rar格式壓縮包,需要在CentOS上安裝rar, rar安裝包自行百度。安裝之後,使用“rar x filename”解壓即可。

3. 安裝synopsys installer

將對應的安裝包下載解壓之後,需要安裝synopsys installer,之後通過synopsys installer安裝scl、verdi、vcs。
(1)解壓synopsys_installer
解壓後,得到:
–checksum_info.txt
–installer_INSTALL_README.txt
–SynopsysInstaller_v3.3.run (可執行檔案)

(2)執行SynopsysInstaller_v3.3.run
command: ./SynopsysInstaller_v3.3.run

(3)第二步後得到在同一資料夾等到setup.sh檔案。

4. 建立相關資料夾

這一步是建立屬於每個安裝程式的資料夾。
command:
mkdir synopsys
cd synopsys
mkdir vcs_2016.06
mkdir scl_11.9
mkdir verdi_2016.06-1

5. 啟動synopsys installer並安裝

以下命令是開啟synopsys installer
command:
cd synopsys_installer
./setup.sh
介面為在這裡插入圖片描述
點選Start–>Next後,得到在這裡插入圖片描述
需要依次安裝scl、vcs、verdi。
在這裡,vcs、verdi、scl安裝步驟是一樣的,這裡以scl為例。
在source方框中,選擇解壓scl安裝包後得到的scl資料夾,裡面有*.spf檔案。
選中正確的資料夾之後,點選Done–>Next(若干個),接下來選擇與自己系統相對應的版本選擇安裝,途中需要選擇安裝路徑,選擇在第4步建好的相應的資料夾,並且等待成功安裝即可。

6. window上獲得license

以上5步便已經安裝完畢了,但是,並沒有license,所以不能開啟軟體。這個時候,就要用到scl_keygen這個檔案夾了。
scl_keygen資料夾有:
在這裡插入圖片描述

開啟scl_keygen.exe檔案,
在這裡插入圖片描述
只需要修改以上塗黑的三項。其中HOST ID Deamon和HOST ID Feature我填的是一樣的,HOST_Name填自己主機的名字。
HOST ID Deamon和HOST_Name檢視方法:
如下圖,我使用的是無線。若用電纜,大部分是eth0。HOST ID Deamon就是紅色覆蓋的12個字元(冒號除外);HOST_Name就是chenqw-PC,也可以直接在終端輸入hostname獲得。
在這裡插入圖片描述
填好之後,直接點選Generate。在同一個資料夾就會出現Synopsys.dat。這個就是我們要的檔案了。

7. 修改Synopsys.dat檔案並複製到對應資料夾

之前說過scl是一個統一管理Synopsys軟體license的軟體。
修改Synopsys.dat:
將第二行 修改成DAEMON snpslmd …/synopsys/scl_11.9/amd64/bin/snpslmd (這是你snpslmd對應的路徑,修改成你自己的)。
檔案複製:
將Synopsys.dat檔案複製到…/synopsys/vcs_2016.06/license/和…/synopsys/verdi_2015/license/兩個資料夾中(這是你license對應的路徑,修改成你自己的,如沒有license資料夾,自己建即可)。

8. 設定環境變數

為了之後啟用和執行程式方便,設定環境變數是必不可少的。
配置.bashrc:
#dve
export PATH=$PATH:/home/chenqw/synopsys/vcs_2016.06/gui/dve/bin
alias dve=“dve -full64”

#VCS
export PATH=$PATH:/home/chenqw/synopsys/vcs_2016.06/bin

#VERDI
export PATH=$PATH:/home/chenqw/synopsys/verdi_2016.06-1/bin
export VCS_HOME=/home/chenqw/synopsys/vcs_2016.06
export VERDI_HOME=/home/chenqw/synopsys/verdi_2016.06-1
alias verdi=“verdi”

#LICENCE
export [email protected]
alias lmg_vcs=“lmgrd -c /home/chenqw/synopsys/vcs_2016.06/license/Synopsys.dat”

#scl
export PATH=$PATH:/home/chenqw/synopsys/scl_11.9/amd64/bin
export VCS_ARCH_OVERRIDE=linux

以上的配置僅作參考,需要根據你的安裝路徑進行配置。
之後使配置檔案立即生效即可:
source .bashrc

9. 啟用

啟用license是最關鍵的步驟。在之前可能需要安裝
redhat-lsb-core,直接使用yum 就可以安裝了。
因為啟用的埠使用的是27000。我實現開放了此埠。開放埠的方法可自行百度。
接下來直接在終端輸入lmg_vcs,等待啟用即可。若途中有關TCP port的報錯,查詢27000埠程序,直接kill 掉等待27000埠完全釋放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

seccess圖示:
在這裡插入圖片描述

10 .執行dve, vcs ,verdi

dve&&vcs:
注:dve是vcs的介面化。
在這裡插入圖片描述在這裡插入圖片描述

verdi:
在這裡插入圖片描述在這裡插入圖片描述

結語

祝諸君成功。
下篇博文,不對,下片筆記。我想記一下與vcs模擬相關的平臺搭建和makefile工程管理。