1. 程式人生 > >QuartusII 9.0安裝破解教程詳解及例程測試

QuartusII 9.0安裝破解教程詳解及例程測試

 目錄

1.首先下載軟體以及破解檔案

 下載完如下圖所示:

解壓QuertusII 9.0的壓縮包。

2.安裝軟體

2.1.點選setup.exe

2.2.接受條款

2.3.填寫資訊

2.4.選擇安裝路徑

2.5.下一步:

2.6.下一步

2.7.下一步:

2.8.安裝中,等待幾分鐘:

2.9.安裝完成後桌面一個圖示,點選圖示進入軟體:

2.10.進入軟體,彈窗,選擇OK

2.11.又一個彈窗,OK

2.12.可以看到這個軟體的使用年限已經過期了:

3.破解軟體

3.1.開啟tool-->License Setup檢視網絡卡地址

3.2.開啟下載好的破解檔案,使用記事本開啟license.DAT檔案進行編輯:

替換後如下:

3.3.把修改後的license.DAT檔案複製到軟體安裝目錄下:

3.4.修改license的路徑,改成我們剛剛放置License的路徑:

3.5.將破解檔案bin32資料夾中的sys_cpt.dll複製到安裝目錄的quartus的bin目錄下:

找到軟體安裝目錄,然後找到quartus/bin,貼上:

注意:先暫時關閉軟體,不然沒辦法替換的。

至此,破解就完成啦。

4.例程測試

4.1.使用嚮導新建一個工程,File->New Project Wizard

4.2.下一步

4.3.選擇儲存路徑:

4.4.新增設計檔案到您的工程目錄下面,如果沒有設計檔案,則點選“NEXT”

4.5.選擇器件的具體型號:

4.6.選擇設計綜合工具,選擇模擬工具,選擇時序分析工具,預設,就點選“NEXT”

4.7.點選“FINISH”,工程檔案建立成功

4.8.新建設計檔案,點選“FILE”-----“NEW”

4.9.選擇“VHDL File”:

4.10.編寫一個簡單的半加器實驗:

具體程式碼如下:

library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture fh1 of h_adder is
	begin
	
		SO <= A xor B;
		CO <= A and B;
end architecture fh1;

把這段程式碼貼上到新建的file中:

4.11.點選儲存後,必須注意一點,您所設計的模組名,必須和您儲存的檔名字完全相同

4.12.點選開始編譯

4.13.編譯成功,彈出對話方塊,確定:

提示:

如果遇到錯誤:Error: Top-level design entity "Verilog1" is undefined

那是因為你的頂層檔名和實體名對不上,修改選單Assignments -> Settings...
開啟後點擊第一個General選項裡,在Top-level entity標籤指示下的編輯框裡輸入你的VHDL文本里的實體名字就OK了。

例如:這裡應該是:h_adder

4.14.新建模擬波形檔案FILE->NEW;選擇如下所示,選擇好波形檔案後,點選OK

4.15.彈出對話方塊,如下圖所示:

4.16.新增輸入輸出訊號的波形模擬檔案中;安裝圖中說明進行選擇;

4.17.點選”Node Finder...”

4.18.按照圖中說明進行操作

4.19.再單擊OK

4.20.編輯訊號如下圖所示:

提示:

如何編輯訊號的電平?

按住滑鼠左鍵,然後拖地滑鼠選取所需要的時間段;如下圖所示

選擇“1”,就可以把剛才所選取的時間段變為1;編輯好波形檔案後,必須點選儲存

4.21.點選儲存,或者快捷鍵:CTRL + S:

4.22.選取模擬的方式,點選“Processing”----“Simulator Tool”

4.23.如果您需要先進行功能模擬,1、選擇“Functional”;2、選擇剛才儲存的波形檔案“johson.vwf”;3、點選“Generate Functional Simulation Nelist”,彈出對話方塊,提示成功後,點選“確定”;4、點選“Start”

點選start,開始:

4.24.模擬成功後,彈出對話方塊,提示模擬成功,然後點選“Report”就可以看到波形模擬結果了:

半加器的模擬波形如下:

5.新建原理圖

5.1.首先選擇FILE->Create/Update->Create Symbol Files for Current File

5.2.接著File->new

新建一個Schematic File

5.3.空白處雙擊:

5.4.彈出一個對話方塊,選擇,Project 下的 h_adder

5.5.單擊左鍵放置,接OK了:

。。。。。。。。。。。。。。。。。。。。。本教程完。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。