1. 程式人生 > >vc 模擬按win+D鍵(最小化所有視窗)

vc 模擬按win+D鍵(最小化所有視窗)

keybd_event(VK_LWIN, 0, 0 ,0);/
 keybd_event('D', 0, 0 ,0);
 keybd_event('D', 0, KEYEVENTF_KEYUP ,0);
 keybd_event(VK_LWIN, 0, KEYEVENTF_KEYUP,0);