1. 程式人生 > >用scala實現乘法表

用scala實現乘法表

用scala實現乘法表:

//以序列形式返回一行乘法表
def makeRowSeq(row:Int) ={
  for(col <- 1 to 10) yield {
    val pord=(row * col).toString
    val padding= " " *(4-pord.length)
    padding + pord
  }
}
//makeRowSeq(3) 輸出結果:
//Vector(   3,    6,    9,   12,   15,   18,   21,   24,   27,   30)

//以字串形式返回一行乘法表
def makeRow(row:Int
)=makeRowSeq(row).mkString //輸出結果: 3 6 9 12 15 18 21 24 27 30 //以字串形式返回乘法表,每行記錄佔一行字串 def multiTable()={ val tableSeq= for(row <- 1 to 10 ) yield makeRow(row) tableSeq.mkString("\n") } def main(args: Array[String]): Unit = { println(multiTable) }
  最終輸出結果:
   1   2   3   4   5   6   7   8   9  10
   2   4   6   8  10  12  14  16  18  20
   3   6   9  12  15  18  21  24  27  30
   4   8  12  16  20  24  28  32  36  40
   5  10  15  20  25  30  35  40  45  50
   6  12  18  24  30  36  42  48  54  60
   7  14  21  28  35  42  49  56  63  70
   8  16  24  32  40  48  56  64  72  80
   9  18  27  36  45  54  63  72  81  90

  10  20  30  40  50  60  70  80  90 100

連結:用scala實現乘法表