1. 程式人生 > >Ubuntu下VCS軟體安裝結果的一個測試

Ubuntu下VCS軟體安裝結果的一個測試

一、修改GCC版本

gcc版本需要降到4.8。

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

在這裡插入圖片描述
沒錯,我也試了試4.7版本的。

二、原始檔

測試的目的是驗證VCS軟體能否正常工作,被測試檔案如下:

module testbench();

reg clk;
reg rst_n;
reg data_in;
wire data_out;

initial begin
   clk =
1 ; forever #5 clk = ~clk; end initial begin rst_n = 0; #20 rst_n = 1; end initial begin data_in = 0; #40 data_in = 1; #100 data_in = 0; #10 data_in = 1; #20 data_in = 0; #10 data_in = 1; end initial #1000 $finish; rising_edge rising_edge_u0( .clk(clk), .rst_n(rst_n), .data_in(data_in)
, .data_out(data_out) ); endmodule
module rising_edge(
input clk,
input rst_n,
input data_in,
output data_out
    );
    reg data0;
    reg data1;
    wire rising_sign;
    [email protected](posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        data0 <= 1'b0;
        data1 <=
1'b1; end else begin data0 <= data_in; data1 <= data0; end end assign data_out = !data1 & data0; endmodule

三、測試

在終端執行:

vcs -full64 -V -R testbench.v rising_edge.v -o simv -gui -debug_pp

提示有錯誤:

collect2: error: ld returned 1 exit status
Makefile:104: recipe for target 'product_timestamp' failed
make: *** [product_timestamp] Error 1

執行:

vcs -full64 -LDFLAGS -Wl,-no-as-needed -V -R testbench.v rising_edge.v -o simv -gui -debug_pp

在這裡插入圖片描述