1. 程式人生 > >FPGA 學習筆記 (十) PLL核的定製

FPGA 學習筆記 (十) PLL核的定製

通常我們板子上所帶的系統時鐘是50Mhz,如果我們需要更高的頻率就需要使用pll核,通過鎖相環將頻率倍頻到更高的頻率。

我們採用將系統時鐘50Mhz倍頻到100Mz來講解:
1)選擇tools→MegaWizard Plug-In Manager

2)選擇“Creat a new custom megafunction variation”,然後Next。

3)接著選擇我們所需要的IP核,如圖所示進行設定。
● 選擇IP核為“I/O ALTPLL”。
● 器件系列為“Cyclone IV E”。
● 選擇語言為“Verilog”。
●輸入工程所在的路徑,並且在最後面加上一個名稱,然後點選Next。

4) 接著來到了PLL的引數配置頁面,做如圖所示的設定。然後點選Next進入下一個頁面。
● 選擇器件的速度等級“8”。
● 選擇輸入系統時鐘“50MHz”。

5) Input/lock頁面中,如圖3.14所示進行設定,接著點選Next進入下一個頁面。
● 引出該PLL硬核的’areset’訊號,這是該PLL硬核的非同步復位訊號,高電平有效。
● 引出該PLL硬核的’locked’訊號,該訊號用於指示PLL是否完成內部初始化,已經可以正常輸出了高電平有效。

6) 這裡有5個可選的時鐘輸出通道,通常我們只用第一個通道其他不用 設定。
● 勾選“Use this clock”,表示使用該時鐘輸出訊號。
● 輸入乘法因子為2,除法因子為1。相移為0,佔空比為50%。

7) 配置完成後,最後在Summary頁面,如圖3.16所示,不勾選任何檔案。點選Finish完成PLL的配置。

8)在相關問價夾中生成的檔案如下,可直接複製如下檔案到工程中使用。

若需要定製其他頻率的pll核,不用再按照如上步驟新建pll,可在原有pll核中修改:
開啟syspll檔案找到defparam部分

clko_divide_by 為除法因子
clko_multiply_by 為乘法因子
input_frequency 表示時鐘週期為20000ps 即20ns或50MHZ
最後選擇相關器件。

相關推薦

FPGA 學習筆記 PLL定製

通常我們板子上所帶的系統時鐘是50Mhz,如果我們需要更高的頻率就需要使用pll核,通過鎖相環將頻率倍頻到更高的頻率。 我們採用將系統時鐘50Mhz倍頻到100Mz來講解: 1)選擇tools→MegaWizard Plug-In Manager 2)選擇

FPGA 學習筆記 如何用串列埠傳送32位資料?

在筆者之前所用的串列埠中,串列埠一次只能傳送資料為8位,這跟串列埠通訊的協議方式有關係。而最近筆者的專案中需要用到一次傳送32位的資料。筆者最開始想到的是32位資料傳送4次。 為了不改動原來的串列埠通訊協議驅動,筆者設計瞭如下發送方式: 設計四個狀態stat

FPGA 學習筆記 VGA驅動的實現

VGA時序圖 1)行掃描時序圖 a:行同步時期,掃描地址的復位 b:行消隱後肩,掃描地址轉移後的穩定等待準備期 c:行顯示時期,資料有效區域 d:行消隱前肩,掃描地址轉移的準備 e:行掃描總時間,一行掃描的總時間 2)場掃描時序圖 o:場

FPGA學習筆記--- 流水燈

tle 晶振 定義 -1 min itl dual color lan 平臺:FPGA黑金開發板 AX301 開發環境:Quartus Prime Version 17.0.0 Build 595 04/25/2017 Standard Edition

如鵬網學習筆記DOM

check 名稱 元素節點 n) mousedown 通過 name 邏輯 css DOM筆記一、DOM簡介   Document Object Model 文檔對象模型   DOM的節點樹模型:整個文檔按照從大到小的節點劃分,每一個內容都算作一個節點   DOM API

Python學習筆記

類型 property 獲取 bsp 構造 屬性 增加 函數類 pro 一、類和構造函數的定義   class 類名(object):    def __init__(self,name,score):      self.name = name      self.sco

Ionic3學習筆記實現夜間模式功能

gpa 效果 app code fff eat ext images provider 本文為原創文章,轉載請標明出處 目錄 創建主題樣式 導入 variables.scss 創建 provider 創建 page 在 App 入口處應用主題 效果圖 1. 創建主題樣式

Elasticsearch學習筆記批量查詢mget、批量增刪改bulk

出錯 color body 換行 nor test 增刪 doc document 一、批量查詢 mget GET /_mget { "docs":[ { "_index":"ecommerce

day5-Python學習筆記常用模塊

stat 結果 fig .get 文件顯示 刪除空文件 conf std 分割 import os# print(os.getcwd())#取當前工作目錄# print(os.chdir("..")) # 更改當前目錄# print(os.getcwd())#取當前工作目

《Qt5 開發與實例第三版學習筆記

fix row tac person als new .cpp exec constrain 1 // 3.5 『綜合實例』 修改用戶資料 2 //main.cpp 3 #include "content.h" 4 #include <QApplic

FPGA學習筆記——初識FPGA

ble 數據 str 存儲 分享 視頻 邏輯 信號 ros ###### 【該隨筆屬於小梅哥FPGA系列視頻學習筆記,原創為小梅哥】 #########   FPGA(Field-Programmable Gate Array,現場可編程門陣列),典型的FPGA

FPGA學習筆記—— 時序邏輯電路設計

code 是我 使用 param efi sof src img lse 用always@(posedge clk)描述 時序邏輯電路的基礎——計數器(在每個時鐘的上升沿遞增1)   例1.四位計數器(同步使能、異步復位) // Module Nam

FPGA學習筆記——FSMFinite State Machine,有限狀態機設計

fault mil 系統 time 編碼 代碼 ril esc 寫法   FPGA設計中,最重要的設計思想就是狀態機的設計思想!狀態機的本質就是對具有邏輯順序和時序規律的事件的一種描述方法,它有三個要素:狀態、輸入、輸出:狀態也叫做狀態變量(比如可以用電機的不同轉速作為狀態

ASP.NET Core 2 學習筆記視圖

部分 合成 cati 分享 col script text var AC ASP.NET Core MVC中的Views是負責網頁顯示,將數據一並渲染至UI包含HTML、CSS等。並能痛過Razor語法在*.cshtml中寫渲染畫面的程序邏輯。本篇將介紹ASP.NET Co

官網英文版學習——RabbitMQ學習筆記RabbitMQ集群

sign 新版本 兩種 height node 停止 clas 普通模式 簡單 在第二節我們進行了RabbitMQ的安裝,現在我們就RabbitMQ進行集群的搭建進行學習,參考官網地址是:http://www.rabbitmq.com/clustering.

Cocos2d-x學習筆記例項——記住帳號密碼功能

【關於記住賬號/密碼】 在很多需要賬號、密碼驗證的應用程式,“記住密碼”是非常常見的,那麼如何用cocos2d-x來實現呢?這裡用選單來實現“記住密碼”的功能。 【記住賬號/密碼的實現】 (1)首先準備兩張圖片,即選單項兩種狀態(正常和點選)下顯示的的圖片背景,以便在使用者多次

javaweb學習筆記:XML

目錄   1 xml入門 2 xml語法 3 xml顯示 4 XML解析方式及工具 5 xml約束 1 xml入門 Extensible Markup Language(可擴充套件標記語言),XML 的設計宗旨是傳輸資料,而不是顯示資料。XML 標籤

java學習筆記-- java新特性 列舉 & 註解 & 介面定義加強 & Lambda表示式

列舉 (enum) 高階的多例模式 java中列舉使用enum關鍵字定義列舉 列舉就是一種多例設計模式 enmu Color{     RED,BLUE,GREEN;     } enum Color{

Spring學習筆記——Spring Retry篇

前提 這篇博文是這套Spring學習筆記的第十篇——Spring Retry篇,主要內容包括Spring MVC的基礎知識和應用。如果需要了解有關Spring的綜述資訊或博文的索引資訊,請移步: 《綜述篇》 從問題出發 大家都知道,Java中有一大類異常叫RuntimeExc

python基礎教程第三版)學習筆記

第十章 開箱即用 本章簡要介紹模組的工作原理以及如何探索模組以獲悉其提供的功能,然後概述標準庫,重點是幾個很有用的模組。 10.1 模組 使用import將函式從外部模組匯入到程式中。 ''' import math as ma Pi=3.1415926 v=ma.sin(Pi/6) pri