1. 程式人生 > >FPGA非同步復位同步釋放的詳細解釋

FPGA非同步復位同步釋放的詳細解釋

假設rst_async_n撤除時發生在clk上升沿,如果如下電路則可能發生亞穩態事件。


如圖第一個方框內是非同步復位和同步釋放電路。有兩個D觸發器構成。第一級D觸發器的輸入時VCC,第二級觸發器輸出是可以非同步復位,同步釋放後的復位訊號。

電路目的:方式復位訊號撤除時產生亞穩態事件。

所謂非同步復位和同步釋放,是指復位訊號是非同步有效的,即復位的發生與clk無關。後半句“同步釋放”是指復位訊號的撤除(釋放)則與clk相關,即同步的。

下面說明一下如何實現非同步復位和同步釋放的。

非同步復位:顯而易見,rst_async_n非同步復位後,rst_sync_n將拉低,即實現非同步復位。

同步釋放:這個是關鍵,看如何實現同步釋放,即當復位訊號rst_async_n撤除時,由於雙緩衝電路的作用,rst_sync_n復位訊號不會隨著rst_async_n的撤除而撤除。

假設rst_async_n撤除時發生在clk上升沿,如果不加此電路則可能發生亞穩態事件。但是加上此電路以後,假設第一級D觸發器clk上升沿時rst_async_n正好撤除,則D觸發器1輸出高電平“1”,此時第二級觸發器也會更新輸出,但是輸出值為前一級觸發器次clk來之前時的Q1輸出狀態。顯然Q1之前為低電平,顧第二級觸發器輸出保持復位低電平,直到下一個clk來之後,才隨著變為高電平。即同步釋放。

  1. module ex1 (   
  2. output rst_sync_n,   
  3. input clk, rst_async_n);  
  4. reg rst_s1, rst_s2;  
  5. always @ (posedge clk, posedge rst_async_n)  
  6. if (rst_async_n) begin   
  7. rst_s1 <= 1'b0;  
  8. rst_s2 <= 1'b0;  
  9. end  
  10. else begin  
  11. rst_s1 <= 1'b1;  
  12. rst_s2 <= rst_s1;  
  13. end  
  14. assign rst_sync_n = rst_s2;   
  15. endmodule  
對於實際的實現TECH MAP檢視



相關推薦

FPGA非同步復位同步釋放詳細解釋

假設rst_async_n撤除時發生在clk上升沿,如果如下電路則可能發生亞穩態事件。 如圖第一個方框內是非同步復位和同步釋放電路。有兩個D觸發器構成。第一級D觸發器的輸入時VCC,第二級觸發器輸出是可以非同步復位,同步釋放後的復位訊號。 電路目的:方式復位訊號撤除時產生亞穩態事件。 所謂非同

FPGA基礎知識11(FPGA非同步復位同步釋放解析)

需求說明:IC設計基礎 內容       :非同步復位,同步釋放 來自       :時間的詩 FPGA開發中,一種最常用的復位技術就是“非同步復位同步釋放”,這個技術比較難以理解,很多資料對其說得並不透徹,沒有講到本質,但是它又很重要,所以對它必須理解,這

FPGA非同步復位同步釋放使用兩級D觸發器的意義

       如圖是器件工作時的電壓變化,當reset_n在Recovery Time Check+Removal Time Check時間段內發生變化時會產生一個非高非低的訊號,稱之為亞穩態。如果電路有亞穩態存在會對之後的電路產生無法預測的錯誤,通過比較可得在各模組之間

FPGA非同步復位同步釋放解析

FPGA開發中,一種最常用的復位技術就是“非同步復位同步釋放”,這個技術比較難以理解,很多資料對其說得並不透徹,沒有講到本質,但是它又很重要,所以對它必須理解,這裡給出我的看法。 講到這個之前,我們要先熟悉recovery time和removal time的概念。如下

非同步復位同步復位非同步復位同步釋放的比較

原文地址:http://www.cnblogs.com/yfwblog/p/4793118.htm 簡介 在實際的工程中選擇復位策略之前必須考慮許多設計方面的問題,如使用同步復位或者非同步復位或者非同步復位同步釋放(Asynchronous Reset Synchro

含PLL的lock訊號的非同步復位同步釋放

下圖展示了該結構: 結構分為三部分,分別是PLL的非同步復位同步釋放,PLL模組,和系統的非同步復位同步釋放。          PLL模組由IP核產生,使用非同步復位,當鎖定時候,Locked訊號置位。          非同步復位同步釋放部分當iRstN訊號低電平,

Verilog中同步復位非同步復位非同步復位同步釋放

簡介 在實際的工程中選擇復位策略之前必須考慮許多設計方面的問題,如使用同步復位或者非同步復位或者非同步復位同步釋放(Asynchronous Reset Synchronous Release或者Synchronized Asynchronous Reset),以及

FPGA基礎之非同步復位同步釋放電路的詳細解釋

版權宣告:轉載請註明出處:http://blog.csdn.net/lg2lh https://blog.csdn.net/lg2lh/article/details/8488224 假設rst_async_n撤除時發生在clk上升沿,如果如下電路則可能發生亞穩態事件。  

非同步復位同步釋放電路的詳細解釋

1、首先給出非同步復位訊號亞穩態的原因:   復位結束也就是釋放的時刻恰在時鐘上升沿的建立時間和保持時間之間時無法決定現在的復位狀態是1還是0,造成亞穩態。   下面是具體解釋:   在帶有復位端的D觸發器中,當reset訊號“復位”有效時,它可以直接驅動最後一級的與非門,

非同步復位同步釋放的理解

非同步復位,同步釋放的理解 文章轉自: https://blog.csdn.net/u011729865/article/details/49281713   什麼情況下復位訊號需要做非同步復位同步釋放處理 非同步復位同步釋放原理 利用前面兩級

FPGA同步復位非同步復位(1)

正常情況下,clk的上升沿c更新為b,b更新為a。一旦進入復位,b,c都清零;但是我們不能確定復位訊號rst_n會在什麼時候結束。如果結束於b_reg0和c_reg0的{launch edge –stup,launch edge+hold}時間只外,那麼一切都會正常。但如果恰恰相反,會出現什麼情況呢? rst

同步非同步,阻塞非阻塞 詳細解釋

因為中文語意的問題,很多時候確實會導致混用,而且語境不一樣意義也可能不一樣。如果只是從計算機程式設計這個角度說, 討論最多的也是IO 模型 ,阻塞非阻塞 和 同步非同步說的應該是不同的東西。 阻塞非阻塞:可以簡單理解為需要做一件事能不能立即得到返回應答,如果不能立即獲得

FPGA同步復位非同步復位(2)

為了避免純粹的同步復位和純粹非同步復位的問題,可以使用一種叫做同步化的非同步復位,我們稱其為第三類復位。這種復位完全結合了非同步復位和同步復位的優勢,我們知道非同步復位的優勢是不參與資料路徑,所以不影響資料路徑速度,而復位幾乎是瞬間起作用;而同步復位的優勢是百分百地同步時

復位最佳方式:非同步復位同步釋放

最近在FPGA討論群裡放入一段程式碼讓精英分析一下可行性,結果被鄙視了,並且引起了精英們的大討論 ,總結一下: 起因是我在一個工程中混雜使用同步復位,非同步復位; 非同步:  always @(posedge clk or negedge rst_n )        

verilog非同步復位同步釋放

<div id="article_content" class="article_content clearfix csdn-tracking-statistics" data-pid="blog" data-mod="popu_307" data-dsm="post

為什麼要非同步復位同步釋放

                                一個簡單的非同步復位的例子  1 module test 2 ( 3  input clk, 4  input rst_n, 5  input data_in, 6  output reg out 7 ); 8  alw

rsync增量同步標誌位詳細解釋

value req special amp 解釋 mis have scenarios diff rsync非常強大,下面是我用rsync做目錄備份時用到的參數: rsync -ruPi -plEt /home/op/photo/ /remote_backup/photo/

【Verilog】 同步復位非同步復位比較 async vs. sync

  同步復位 sync 非同步復位 async 特點 復位訊號只有在時鐘上升沿到來時才能有效。 無論時鐘沿是否到來,只要復位訊號有效,就進行復位。

verilog同步復位非同步復位

1、阻塞賦值操作符用等號(即 = )表示。“阻塞”是指在程序語句(initial和always)中,當前的賦值語句阻斷了其後的語句,也就是說後面的語句必須等到當前的賦值語句執行完畢才能執行。而且阻塞賦值可以看成是一步完成的,即:計算等號右邊的值並同時賦給左邊變數。例如: 當執行“x=next_x;”時,x會

同步復位非同步復位的對比

復位分為同步復位和非同步復位。 同步復位的優點: 1.抗干擾性高,可以剔除復位訊號中週期短於時鐘週期的毛刺。 2.有利於靜態時序分析工具的分析。 3.有利於基於週期的模擬工具的模擬。 同步復位的缺點: