1. 程式人生 > >Debussy在win7系統下安裝、編譯xilinx庫、以及基本使用方法

Debussy在win7系統下安裝、編譯xilinx庫、以及基本使用方法

自從到了新公司,coding及simulate時就回到了原始社會,只用modelsim了。

modelsim對於小工程設計及模擬是夠用的,但是涉及到一些類似於程式碼追蹤時,modelsim就欠缺了,想想還是debussy(debussy算古董級別了,現在推出的都是linux版本的verdi)。

1.安裝檔案及安裝方法

debussy下載路徑:http://bbs.eetop.cn/thread-404205-1-1.html;

破解檔案路徑:http://bbs.eetop.cn/thread-617905-1-1.html

使用第一個下載的安裝檔案安裝debussy,使用第二個下載的檔案來破解(1.首先複製crack下的dll檔案到相應目錄;2.執行crack.exe,選擇安裝好的目錄c盤下debussy.exe)。

2.編譯xilinx庫

用debussy開啟verilog程式碼一般都很容易,但是如果開啟一些vhdl程式碼時,因為vhdl程式碼中有很多庫檔案,比如有些vhdl程式碼需要xilinx庫(類似於modelsim中需要模擬xilinx庫一樣),如果不載入這些庫的話,匯入程式碼時會報一些類似於“unknow identifier: vcomponents”的錯誤,所以需要編譯xilinx庫到debussy中。

網上找了下,如下編譯:

Debussy的預定義庫存放在$Debussy\etc\kdb\vhdl\32下,因此編譯後的Xilinx庫最好放在相同的目錄中。同樣的,Debussy路徑也應加入到環境變數中。

該模擬庫用於Xilinx器件的功能模擬。編譯的DOS命令如下: 

set DEBUSSY_PATH=D:\Programme\Novas\Debussy\etc\kdb\vhdl\32

set XILINX_PATH=D:\Programme\Xilinx\13.3\ISE_DS\ISE\vhdl\src

cd % DEBUSSY_PATH%

vhdlcom -93 -lib unisim %XILINX_PATH%\unisims\unisim_VPKG.vhd

vhdlcom -93 -lib unisim %XILINX_PATH%\unisims\unisim_VCOMP.vhd

注意兩個檔案的順序。編譯後“DBUSSY_PATH下出現unisim.lib++資料夾。 Debussy編譯時發現,只編譯這兩個檔案是不夠的,應該把“primitives”下的.vhd檔案也編譯到lib中,就像Modelsim那樣。

該模擬庫用於Xilinx IP Core的功能模擬。編譯過程與unisim庫相似,只是XilinxCoreLib中的檔案較多,且要關心編譯順序。所以在資料夾中找到名為vhdl_analyze_order的檔案,這是xilinx提供的按順序排列的檔案列表,根據這個檔案寫一個批處理檔案,生成XilinxCoreLib庫。 

vhdlcom -93 -lib XilinxCoreLib %XILINX_PATH%\XilinxCoreLib\blkmemdp_v6_1_services.vhd

vhdlcom -93 -lib XilinxCoreLib %XILINX_PATH%\XilinxCoreLib\blkmemdp_pkg_v6_1.vhd

......

該模擬庫用於Xilinx器件的時序模擬;

模擬庫生成後,修改D:\Programme\Novas\Debussy\etc\novas.rc,以便啟動Debussy時自動裝載這些庫檔案。

開啟D:\Programme\Novas\Debussy\etc\novas.rc

搜尋:

357 [VHDL_libraries]

358 work = ./work

.

work = ./work後加入

unisim = D:/Programme/Novas/Debussy/etc/kdb/vhdl/32/unisim.lib++

XilinxCoreLib = D:/Programme/Novas/Debussy/etc/kdb/vhdl/32/XilinxCoreLib.lib++

再次開啟Debussy,可以看到這兩個庫已經加入到庫列表中。


3.基本使用方法

待補充