1. 程式人生 > >FPGA-Modelsim模擬不出來波形可能的原因

FPGA-Modelsim模擬不出來波形可能的原因

今天算是漲了教訓,程式碼寫完了,模擬波形就是不出來,搗鼓了一晚上,最後發現是一段程式碼的問題,如下。

reg [7:0]Data;
always Data='0'+Time;

這裡是想實現Data能隨Time的變化而變化,實現assing類似的效果,但這樣的寫法應該是錯誤的,因為書上並沒有這樣的寫法,並且正因為這個,導致Modelsim模擬波形不能出來,至於為啥,我才學,不知道,只能說這是血與淚換來的教訓吧,就因為這個,調了一晚上,不過奇怪的是,這樣寫,下載到FPGA板裡可以實現預想的功能,等以後學數電了再來解釋吧