1. 程式人生 > >【FPGA】學習筆記-IO引腳分配

【FPGA】學習筆記-IO引腳分配

Error (169029): Pin Key_1 is incompatible with I/O bank 6.  Pin uses I/O standard 2.5 V, which has a VCCIO requirement incompatible with that bank's VCCIO setting or its other pins that use VCCIO 1.8V.