1. 程式人生 > >Modelsim模擬的Run.do指令碼模板

Modelsim模擬的Run.do指令碼模板

#QUARTUS 
#此處是註釋
#退出當前模擬功能
quit -sim
#清楚命令列顯示資訊
.main   clear

vlib    ./lib
vlib    ./lib/work
vmap    work ./lib/work

#XXX_tb模擬檔名
vlog    -work   work    ./XXX_tb.v
#src是XXX.v的資料夾
vlog    -work   work    ./../src/*.v

vsim    -voptargs=+acc  work.XXX_tb

add wave    -divider    {XXX}

#add wave 測試頂層的名字/例化模組的例化名字/訊號的名字
add wave    XXX_tb/XXX_inst/*

#模擬執行的時間
run 100us

以上只是部分內容,僅供參考.實際工程中可根據具體內容進行新增,比如一些IP核需要的庫什麼的….