1. 程式人生 > >兩片74ls138組成4/16譯碼器-20151030

兩片74ls138組成4/16譯碼器-20151030

  • 用兩片74LS138組合成4/16譯碼器
  • 如圖
  • 真值表對應如下:
  • 輸入 輸出
    D0 D1 D2 D3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15
    0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1
    0 1 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1
    0 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1
    1 0 0 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1
    1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1
    1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1
    1 1 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1
    0 0 0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1
    0 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1
    0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1
    0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1
    1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1
    1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1
    1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1
    1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0
    通過真值表,我們可以發現,用兩片74LS138組合成4/16譯碼器原理關鍵在於D4
  • D4為0:開啟1號,關閉2號。1號是16位資料的低位元組,2號是16位資料的高位元組。高位元組預設為0XFF,低位元組由1號控制,顯示Y0-Y7;
  • D4為1:開啟2號,關閉1號。1號是16位資料的低位元組,2號是16位資料的高位元組。低位元組預設為0XFF,高位元組由2號控制,顯示Y8-Y15;

相關推薦

74ls138組成4/16譯碼-20151030

用兩片74LS138組合成4/16譯碼器如圖真值表對應如下: 輸入 輸出 D0 D1 D2 D3 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14

實驗1:拼接 4-16譯碼

用2片3-8 譯碼器拼接成4-16 譯碼器 模擬驗證電路的正確性 注意觀察輸出訊號的毛刺(競爭冒險) 新的改變 我們對Markdown編輯器進行了一些功能拓展與語法支援,除了標準的Markdown編輯器功能,我們增加了如下幾點新功能,幫助你用它寫部落格: 全

基於proteus的51微控制器模擬例項七十四、4-16譯碼74HC154應用例項

1、本例通過4-16譯碼器74HC154實現用4個IO口控制16個LED迴圈點亮 2、在keil c51中新建工程ex62,編寫如下程式程式碼,編譯並生成ex62.hex檔案 //4-16線譯

Hamming(7,4)編譯碼

實驗目的 加深理解Hamming(7,4)碼的編碼方法和抗干擾性能。 通過程式設計實現Hamming(7,4)碼的編碼演算法,進一步掌握按位二進位制加法的實現原理。 實驗要求 輸入:長度為4的任

2-4譯碼

//2-4線譯碼器 module cy4(input[1:0] A,//輸入埠宣告 input E,//輸入埠宣告 output reg[3:0]Y//輸出埠宣告 ); always @(A,E)

VHDL實現4線-16譯碼

原始碼: library ieee; use ieee.std_logic_1164.all; entity decoder4_16 is port(i: in std_logic_vector(

74LS138譯碼介紹

下面我來簡單介紹一下74LS138晶片的基本情況和使用注意事項: 1、74LS138 為3 線-8 線譯碼器,共有 54/74S138和 54/74LS138 兩種線路結構型式,其74LS138工作原理如下:當一個選通端(G1)為高電平,另兩個選通端(/(G2A)和/(G2B))為低電平時,可將

基於VHDL的層次化設計:非同步清零和同步使能4位十六進位制加法計數器和七段顯示譯碼的元件例化實現

-----------------------------------------------------------------------------------------------方案一--------------------------------------

4口同步

4口同步器產品特征、細節: ●USB2.0控制接口,支持無線鍵鼠 ● 無需驅動。安裝便捷,兼容市面所有系統,兼容性好。 ●支持鍵盤自動連發設置,打遊戲得心應手 ●支持無限級聯,實現一人控制多臺電腦 ●既是同步器,也是KM切換器,方便賬號的登 ●支持鼠標自動穿越屏幕切換,方便快捷 ●開放性系統,軟

HR4985是一種便於使用的內部集成了譯碼的微步進電機驅動器

醫療 內部 處理器 rds 庫存 安防 引腳 元器件 排序 HR4985是一種便於使用的內部集成了譯碼器的微步進電機驅動器。其設計為使雙極步進電機能夠以全、半、1/4和1/8步進操作。步進模式由邏輯輸入MSx選擇。輸出驅動能力達到35V和±1A。HR4985包括一個能夠控制

appium_v1.4.16版本不適配android7.0系統,運行報錯“Attempt to re-install io.appium.settings without first uninstalling”

urn fail tin ins 找到 auto his ger 問題: 要解決的問題:appium在androidV7.0系統上運行時報錯 Failure [INSTALL_FAILED_ALREADY_EXISTS: Attempt to re-install io.a

qt學習記錄-----4.qt定時

調用 函數 div 文件 事件處理 post 溢出 mage 分享圖片 兩種開啟定時器方式 一、開啟多個定時器 添加頭文件 設置三個定時器,並設置定時時間 定時器溢出,調用定時器事件處理函數 二、只需少量定時器,采用信號和槽的方式 實現槽函數 qt學習記錄---

【PHP】 解決報錯:Error: php71w-common conflicts with php-common-5.4.16-43.el7_4.x86_64

with gpo pos erro conf 問題 error col 擴展 背景: 手動安裝的PHP7 環境 問題:在安裝擴展的時候。無論輸入 php-* 來安裝任何擴展。都會報錯 Error: php71w-common conflicts with php-c

4.4.2 構建初始化

以及 過程 繼承 默認 模塊 對象 永遠 發生 tin 字段先初始化,之後才是構造方法; 字段又有static字段先初始化,之後非static初始化(int i;這個就初始化默認值) class Bowl { Bowl(int marker) { System.o

Mac配置apache2.4.25服務

文件 就是 apache2.4 nbsp 監聽 重啟 sudo 端口 con 今天花了挺久時間配置apache,參考了一些博文結合自己的實際配置情況,總結如下: 一、首先,在終端下輸入"open /etc",打開apache2 -> httpd.conf,修改Ap

4.基本選擇

test ont value spa 選擇 doctype cli ssis rip 註意:   window.onload=funtion(){   }   等價於   $(document).ready(function(){   })   $(function(){

基於FPGA的LDPC編譯碼說明文檔

規則 單位矩陣 碼元 過程 操作 modelsim test 文檔 ron 基於FPGA的LDPC編譯碼器說明文檔 該FPGA設計實現的是一個LDPC碼編譯碼器,采用的是並行輸入與並行輸出。設計主要分為兩個模塊:1.LDPC碼編碼器;2.LDPC碼譯碼器。實現的功能:

2018.4.16 四周第一次課

壓縮工具介紹 gzip壓縮工具 bizp2壓縮工具 xz壓縮工具 file命令 概念:file命令可以查看一個文件或者目錄屬於什麽的。 [root@localhost tmp]# file 1.txt.gz #查看這個文件是什麽類別的 1.txt.gz: gzip compressed da

2018-4-16 164次課 zabbix郵件告警

zabbix19.12 添加自定義監控項目·需求:監控某臺web的80端口連接數,並出圖兩步:1)zabbix監控中心創建監控項目;2)針對該監控項目以圖形展現·對於第一步,需要到客戶端定義腳本[root@localhost ~]# vim /usr/local/sbin/estab.sh[root@loca

2018-4-16 Linux學習筆記

19.12 添加自定義監控項目 19.13/19.14 配置郵件告警 19.15 測試告警 19.16 不發郵件的問題處理 19.12 添加自定義監控項目 思路:客戶端設置腳本獲取數據->服務端用zabbix_get驗證客戶端收集的監控數據->zabbix管理界面配置監控項-&