1. 程式人生 > >3線8線譯碼器74HC138&閘電路設計一位二進位制全減器電路

3線8線譯碼器74HC138&閘電路設計一位二進位制全減器電路

  74HC138&閘電路設計一位全減器電路          這個是數電課本上的一個習題   (上課效率基本為0  所以只能下課 自己學點   所有的課程都是 這樣 哭 )剛明白這個題目 寫下來 也讓自己加深一下理解  也希望可以幫助到大家   哈哈     首先你得知道什麼是全減器才能知道怎麼做吧  Hopesun 和大家一起來說一下 全減器的真值表如下圖:   沒找到好圖  湊活看吧    宣告  :  Ci:         代表來自低位的借位  (尼瑪 因為智商低下的原因吧  這句話困擾了我好                 長時間  )解釋一下這個2B的小問題  所謂低位的借位  就是比他低的那個位借的這一位的啦  舉個例子 比如十進位制  46-38   那麼個位  6-8的時候 肯定要向十位4借一 位啊(借一當十嘛  )那麼 個位向十位借的那個1對十位來說就是來自低位的借位啦    然後十位不就變成了 3-3了 到二進位制裡面也是一樣啦   這個樣子應該能理解了吧 
A : 被減數  B: 減數 Co: 表示向高位的借位訊號   D   : 為兩數之差 好下面就按照上面說的解釋一下 上面的這個真值表   直接看第二行吧  Ci: 0    A:0    B:1   Co:1  D:1      首先看 被減數A減去減數B為0-1  0-1啊 肯定需要借位啊   那麼所以向高位借位訊號Co為1  借位之後 A變成2(借一當2) 而且 Ci=0;說明他的低位沒有向A借位 所以A不需要減去1  那麼D=2-1-0(借位)=1 在來一下第三行 Ci: 0    A:1   B:0   Co   D:1   
被減數A減去減數B為1-0  1-0啊 肯定bu需要借位啊   那麼所以向高位借位訊號Co為0   而且 Ci=0;說明他的低位沒有向A借位 所以A不需要減去1  那麼D=1-0-0(借位)=1

最後看一個 第六行 Ci: 1    A:0   B:1   Co :1  D:0 
首先看 被減數A減去減數B為0-1  0-1啊 肯定需要借位啊   那麼所以向高位借位訊號Co為1   而且 Ci=1;說明他的低位有向A借位 所以A需要減去1  那麼D=2-1-1(借位)=0
好了就說這些  都這樣說了 應該能理解這個真值表了吧   那麼下面由真值表得到邏輯函式表示式我就直接寫出答案了  Di=(Y1'Y2'Y4'Y7')' Co=(Y1'Y2'Y3'Y7')' 至於74HC138在這裡就不做介紹了  我直接給出電路圖 (其實這個題目 主要是真指表的的理解 大笑 上圖了  大概就是這樣了     還是那句話  新手一個  歡迎指正大笑
奮鬥

相關推薦

38譯碼74HC138&電路設計二進位制電路

  74HC138&閘電路設計一位全減器電路          這個是數電課本上的一個習題   (上課效率基本為0  所以只能下課 自己學點   所有的課程都是 這樣  )剛明白這個題目

博世傳感調試筆記()----加速度傳感BMA253

cti 帶寬 配置 acc pmu 轉換 氣壓 檢測 dem 公司是bosch的代理商,最近一段時間一直在公司開發的傳感器demo板上調試bosch sensor器件。涉及到的器件有7,8款,類型包括重力加速度、地磁、陀螺儀、溫度、濕度、大氣壓力傳感器等。在調試的過程中做了

如何設計款分散式發號

在網際網路的世界裡,產生唯一流水號的服務系統俗稱發號器。Twitter的Snowflake是一個流行的開源的發號器的實現。Slowfake是由Scala語言實現的,並且文件簡單、釋出模式單一、缺少支援和維護,很難在現實的專案中直接使用。 為了能讓Java領域的小夥伴們在不同

二進位制設計 (verilog)

二進位制全加器設計  一位全加器使用乘積項之和的形式可以表示為:  sum=a·b·c_in+a’·b·c_in’+a’·b’·c_in+a·b’·c_in’ c_out=a·b+b·c_in+a·c_in  其中a,b和c_in為輸入,sum和c_out為輸出,只使

FPGA-01-基本知識 設計一個(四)半加(四

使用ISE設計一個加法器(半加器): dina (輸入)dinb(輸出) co(進位輸出)sum(和輸出) 程式碼如下: module adder_one(dina,dinb,sum,co ); input dina; input dinb; output

Verilog 程式設計實驗(5)-3-8譯碼設計與實現

3-8線譯碼器真值表: Implementation part: module Decoder38(data_in,data_out,enable); input [2:0] dat

基於proteus的51微控制器模擬例項七十三、3-8譯碼74HC138應用例項

1、本例在微控制器的P2埠的低三位(P2.0-P2.2)上連線3-8譯碼器74HC138的3線輸入端,然後在8線輸出端連線8個LED,通過譯碼器控制8個LED迴圈點亮。 具體操作需要參照74HC138的真值表來進行。 2、在keil c51中新建工程ex61,編寫如

GPIO模擬SPI介面程式碼(38)

http://blog.csdn.net/sanchuyayun/article/details/48394381 關於SPI,不同的晶片具體通訊方式可能會不大一樣,所以要具體問題具體分析,下面是最近做LCD時碰到的兩個模擬SPI協議的程式碼,晶片通訊方式不同,程式碼也就不同了

VHDL實現4-16譯碼

原始碼: library ieee; use ieee.std_logic_1164.all; entity decoder4_16 is port(i: in std_logic_vector(

七. 多程編程8.程同步

幸運 實例 語句 情況 獲得 限制 不同 共享 所有 當兩個或兩個以上的線程需要共享資源,它們需要某種方法來確定資源在某一刻僅被一個線程占用。達到此目的的過程叫做同步(synchronization)。像你所看到的,Java為此提供了獨特的,語言水平上的支持。同步的關鍵是管

.NET並行計算和並發3.2-多程調用Invoke

進度 color one void new -418 invoke 調用 操作 以下這個例子是用一個後臺線程執行計算邏輯,這樣不影響前臺界面操作,也就是說 可以在前臺UI界面執行其他操作。 重點是新線程中,調用了一個委托方法,這個方法是需要填充數據到前臺控件,因為 前臺控件

python開發程:程&守護程&局解釋

效果 args threads man 安全 mutex view 主線程 mem 一 threading模塊介紹 multiprocess模塊的完全模仿了threading模塊的接口,二者在使用層面,有很大的相似性,因而不再詳細介紹 官網鏈接:https://docs.p

3章 多程安全問題產生&解決方案

Java1.1 多線程賣票案例需求:用三個線程模擬三個售票窗口,共同賣100張火車票,每個線程打印出賣第幾張票1.1.1 案例代碼三: package com.itheima_03; public class TicketThread implements Runnable { int tickets =

3.1.8 property裝飾

highlight In 字符串類型 return 調用 .get 必須 prope setter 在類的方法上加上一行@property 裝飾器,會使得用戶調用該函數屬性時,就像調用數據屬性一樣,不需要加上() 比如想獲取一些名詞,再加上括號,容易使調用者忘記。動詞才加(

高性能服務開發基礎系列 ()主線程與工作程的分工

c++ 服務器 服務器端為了能流暢處理多個客戶端鏈接,一般在某個線程A裏面accept新的客戶端連接並生成新連接的socket fd,然後將這些新連接的socketfd給另外開的數個工作線程B1、B2、B3、B4,這些工作線程處理這些新連接上的網絡IO事件(即收發數據),同時,還處理系統中的另外一些事

Python學習筆記3:多程的示例

set range int lse color cos star als read 基於python3.6,使用threading模塊實現: 1 import threading 2 import time 3 4 def run(n): 5 prin

鎖和多程:程創建3種方式()

row package itl osi optimize i++ clas all art 線程 鎖Synchronized 1.線程創建 2.線程安全 搞明白 線程 鎖和多線程系列 1.線程創建 線程創建常見的三

iPhone不配Lightning to 3.5mm轉換:試試這幾款藍芽接收器

蘋果在北京時間2018年9月13日凌晨1點召開了新品釋出會,據我愛音訊網瞭解本次蘋果已經不再隨著新手機附贈Lightning轉3.5mm轉接線。 如果不另外付錢購買轉接線的話,新 iPhone 使用者就需要準備別的方式使用耳機。不過這樣一來,未免有點浪費以

mybatis 從3.2.8升級到3.4.5,攔截 SqlInterceptor需要改動的地方

SqlInterceptor implements Interceptor 需要改動的點 @Intercepts({ @Signature(type = StatementHandler.class, method = "prepare", args = {

2-3 Verilog 7 段譯碼(動態顯示)

使用工具:Xilinx ISE 14.7 通過時間分頻在4位7段數碼管中顯示2個數字,給人眼一個錯覺是同時顯示出兩個數字。實現原理是輪流向各位數碼管送出字形碼和相應的位選訊號,利用數碼管閃爍的餘暉和人眼視覺的暫留作用,使人感覺像各位管同時在顯示,需要用到FPGA上的c