1. 程式人生 > >Verilog中儲存器——暫存器陣列定義和賦值

Verilog中儲存器——暫存器陣列定義和賦值

[轉載]http://blog.sina.com.cn/s/blog_9424755f0101rhrh.html

儲存器是一個暫存器陣列。儲存器使用如下方式說明:

reg [ msb: 1sb] memory1 [ upper1: lower1],
memory2 [upper2: lower2],. . . ;

例如:
reg [0:3 ] MyMem [0:63]
//MyMem為64個4位暫存器的陣列。
reg Bog [1:5]
//Bog為5個1位暫存器的陣列。

MyMem和Bog都是儲存器。陣列的維數不能大於2。注意儲存器屬於暫存器陣列型別。線網資料型別沒有相應的儲存器型別。

  單個暫存器說明既能夠用於說明暫存器型別,也可以用於說明儲存器型別。

parameter ADDR_SIZE = 16 , WORD_SIZE = 8;
reg [1: WORD_SIZE] RamPar [ ADDR_SIZE-1 : 0], DataReg;

RamPar是儲存器,是16個8位暫存器陣列,而DataReg是8位暫存器。
  在賦值語句中需要注意如下區別:儲存器賦值不能在一條賦值語句中完成,但是暫存器可以。因此在儲存器被賦值時,需要定義一個索引。下例說明它們之間的不同。

reg [1:5] Dig; //Dig為5位暫存器。
. . .
Dig = 5'b11011;

  上述賦值都是正確的, 但下述賦值不正確:

reg BOg[1:5]; //Bog為5個1位暫存器的儲存器。
. . .
Bog = 5'b11011;

  有一種儲存器賦值的方法是分別對儲存器中的每個字賦值。例如:

reg [0:3] Xrom [1:4]
. . .
Xrom[1] = 4'hA;
Xrom[2] = 4'h8;
Xrom[3] = 4'hF;
Xrom[4] = 4'h2;

  為儲存器賦值的另一種方法是使用系統任務:
  1) $readmemb (載入二進位制值)
  2) $readmemb (載入十六進位制值)
  這些系統任務從指定的文字檔案中讀取資料並載入到儲存器。文字檔案必須包含相應的二進位制或者十六進位制數。例如:

reg [1:4] RomB [7:1] ;
$ readmemb ("ram.patt", RomB);

Romb是儲存器。檔案“ram.patt”必須包含二進位制值。檔案也可以包含空白空間和註釋。下面是檔案中可能內容的例項。

1101
1110
1000
0111
0000
1001
0011

  系統任務$readmemb促使從索引7即Romb最左邊的字索引,開始讀取值。如果只加載儲存器的一部分,值域可以在$readmemb方法中顯式定義。例如:

$readmemb ("ram.patt", RomB, 5, 3);

在這種情況下只有Romb[5],Romb[4]和Romb[3]這些字從檔案頭開始被讀取。被讀取的值為1101、1100和1000。
檔案可以包含顯式的地址形式。

@hex_address value
如下例項:
@5 11001
@2 11010

在這種情況下,值被讀入儲存器指定的地址。
  當只定義開始值時,連續讀取直至到達儲存器右端索引邊界。例如:

$readmemb ("rom.patt", RomB, 6);
//從地址6開始,並且持續到1。
$readmemb ( "rom.patt", RomB, 6, 4);
//從地址6讀到地址4。