1. 程式人生 > >基於VHDL語言的一位全加器

基於VHDL語言的一位全加器

全加器的真值表如下:

該全加器程式由以下三個子程式構成

1)“f_adder”全加器程式

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY f_adder IS
	PORT (ain,bin,cin:IN STD_LOGIC;
		  cout,sum:OUT STD_LOGIC);
END ENTITY f_adder;
ARCHITECTURE fd1 OF f_adder IS
	COMPONENT h_adder
		PORT (a,b:IN STD_LOGIC;
			  co,so:OUT STD_LOGIC);
	END COMPONENT;
	COMPONENT or2a
		PORT(a,b:IN STD_LOGIC;
			 c: OUT STD_LOGIC);
	END COMPONENT;
SIGNAL d,e,f : STD_LOGIC;			
	BEGIN
	u1: h_adder PORT MAP(a=>ain,b=>bin,co=>d,so=>e);
	u2: h_adder PORT MAP(a=>e,b=>cin,co=>f,so=>sum);
	u3: or2a PORT MAP(a=>d,b=>f,c=>cout);
END ARCHITECTURE fd1;

2)“h_adder半加器程式”

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY h_adder IS
	PORT (a,b:IN STD_LOGIC;
		  co,so:OUT STD_LOGIC);
END ENTITY h_adder;
ARCHITECTURE fh1 OF h_adder IS
BEGIN
	so<=NOT(a XOR (NOT b));
	co<=a AND B;
END ARCHITECTURE fh1;

3)“or2a 或門程式”

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY or2a IS
	PORT(a,b:IN STD_LOGIC;
		 c: OUT STD_LOGIC);
END ENTITY or2a;
ARCHITECTURE one OF or2a IS
	BEGIN 
		c<=a OR b;
END ARCHITECTURE one;

程式模擬效果如下圖


相關推薦

基於VHDL語言

全加器的真值表如下:該全加器程式由以下三個子程式構成1)“f_adder”全加器程式LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder I

4VHDL描述

 libraryieee; useieee.std_logic_1164.all; -- definition of a full adder entity FULLADDER is port (a, b, c: in std_logic; sum, carry: o

verilog之四的編譯及仿真(用開源免費的軟件——iverilog+GTKWave)

mage test anti ria inb timescale 向上 技術分享 完成 verilog之四位全加器的編譯及仿真(用開源免費的軟件——iverilog+GTKWave) 四位全加器的verilog的代碼比比皆是,這裏上一個比較簡單的: /* 4位全加器全

VHDLVHDL設計n的

1. 實驗任務 設計並實現一個n(n=8)的全加器 2. 如何實現 先設計出一個半加器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

考慮溢位和進的4_Verilog程式碼及測試檔案

考慮溢位的4位全加器的Verilog程式碼:程式碼檔案://4位全加器_行為描述語言 module adder4( input wire [3:0] a, input wire [3:0] b, output reg [3:0] s, ou

FPGA-01-基本知識 設計一個(四)半(四

使用ISE設計一個加法器(半加器): dina (輸入)dinb(輸出) co(進位輸出)sum(和輸出) 程式碼如下: module adder_one(dina,dinb,sum,co ); input dina; input dinb; output

VHDL設計多路選擇、鎖存

end style ces cin 實現 std spa sum component 1.2選1多路選擇器 1 library IEEE; 2 use IEEE.STD_LOGIC_1164.ALL; 3 ENTITY mux21 IS 4 PORT ( a,

FPGA-陣列乘法器的設計(利用 基於CRA陣列乘法器)

       在計算機中,乘法是數字訊號處理中的重要角色。         四位無符號數相乘的手算運算可以提煉出最簡單的乘法器的計算演算法,利用手算乘法的思想,算出每次的部分積然後求和。這樣的方法思想較為容

簡單數字電路1--半

平衡 http 能力 規則 兩個 一起 導致 集成 mage 了解到實際上數字邏輯電路的與門或門非門的基本組成單元有三種,分別是互補型的CMOS邏輯、TTL邏輯以及傳輸門邏輯三種,其中互補型的CMOS邏輯因其功耗低,工藝集成度高,組合邏輯實現簡單以及能夠根據簡單規則自動生成

systemC建模

RoCE ^c 時序 col oid 建模 fine def iostream 博主今天剛看完systemC的時序建模和組合建模部分,就開始寫sc代碼了,但遇到了很多錯誤。。。base.h頭文件:#ifndef _base_ #define _base_ #include

的維基百科

加法器 摘自維基百科,自由的百科全書 在電子學中,加法器(英語:adder)是一種用於執行加法運算的數位電路部件,是構成電子計算機核心微處理器中算術邏輯單元的基礎。在這些電子系統中,加法器主要負責計算地址、索引等資料。除此之外,加法器也是其他一些硬體,例如二進位

使用實現補碼的減運算

引言: 我們都知道,在計算機中的加減運算都是由補碼來實現的,那麼,計算機是如何運用電路來實現補碼的加減的呢?在計算機中,所有的加減運算其實都變成了加法後來參與運算的,那麼僅需要一個加法器就可以實現了。請看下面內容。 (一)全加器的構成 1.什麼是全加器 全加

國內首款!eoLinker 基於GO語言開源 API 閘道 GoKu-API-Gateway V2.0.0 釋出!

一. 簡介 GoKu API Gateway,中文名:悟空API閘道器,是國內首個開源go語言API閘道器,幫助企業進行API服務治理與API效能安全維護,為企業數字化賦能。 GoKu API Gateway,支援OpenAPI與微服務管理,支援私有云部署,實現API轉發、請求

閘電路邏輯符號大全(三態門,同或門,異或門,或非門,與或非門, 傳輸門,,半等)

最近要研究一下濾波器設計的無乘法器的實現,所以要學習一下加法器的電路,丟了一段時間,忘的差不多了,這裡羅列一下常用的閘電路的符號。 這是一個1位全加器的數位電路組成: 以下兩幅圖可以複習一下數位電路中的常用的元件。 

基於VHDL語言編寫的多功能數字鐘

 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use IEEE.STD_LOGIC_ARITH.ALL;entity dgn_clock  is       port(clk

及其應用

半加器、全加器是組合電路中的基本元器件,也是CPU中處理加法運算的核心,理解、掌握並熟練應用是硬體課程的最基本要求。本文簡單介紹半加器、全加器,重點對如何構造高效率的加法器進行分析。 半加器和全加器 所謂半加器,是指對兩位二進位制數實施加法操作的元器件。

二進位制設計 (verilog)

二進位制全加器設計  一位全加器使用乘積項之和的形式可以表示為:  sum=a·b·c_in+a’·b·c_in’+a’·b’·c_in+a·b’·c_in’ c_out=a·b+b·c_in+a·c_in  其中a,b和c_in為輸入,sum和c_out為輸出,只使

基於VHDL的層次化設計:非同步清零和同步使能4十六進制加法計數器和七段顯示譯碼的元件例化實現

-----------------------------------------------------------------------------------------------方案一--------------------------------------

3線8線譯碼74HC138&閘電路設計二進位制電路

  74HC138&閘電路設計一位全減器電路          這個是數電課本上的一個習題   (上課效率基本為0  所以只能下課 自己學點   所有的課程都是 這樣  )剛明白這個題目

Android 插件()之類

load ron 概念 基本概念 android oid ont 基本上 style 1、類加載器基本概念   類加載器(class loader)用來加載 Java 類到 Java 虛擬機中。一般來說,Java 虛擬機使用 Java 類的方式如下:Java 源程序(.j