1. 程式人生 > >Modelsim do指令碼檔案簡單範例

Modelsim do指令碼檔案簡單範例

vlog -work Top_work F_V_tb.v F_S.v

vlib Top_work
vmap work Top_work
vlog FIFO.v FSMC.v TOP_Design.v TOP_Designtb.v
vsim -gui -novopt Top_work.TOP_Design_vlg_tst

add wave -r /*

add wave sim:/TOP_Design_vlg_tst/*
add wave -position end /TOP_Design_vlg_tst/i1/FIFO_Master/rd
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/wr
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/fifo_in
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/fifo_out
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/fifo_full
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/fifo_empty
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/counter
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/write_ptr
add wave -position insertpoint /TOP_Design_vlg_tst/i1/FIFO_Master/read_ptr
add wave -position insertpoint /TOP_Design_vlg_tst/i1/Fsmc/current_state
add wave -position insertpoint /TOP_Design_vlg_tst/i1/Fsmc/next_state

run -all

相關推薦

Modelsim do指令碼檔案簡單範例

vlog -work Top_work F_V_tb.v F_S.v vlib Top_work vmap work Top_work vlog FIFO.v FSMC.v TOP_Design.v TOP_Designtb.v vsim -gui

【FFMpeg視訊開發與應用基礎】一、使用FFmpeg命令列工具和批處理指令碼進行簡單的音視訊檔案編輯

《FFMpeg視訊開發與應用基礎——使用FFMpeg工具與SDK》視訊教程已經在“CSDN學院”上線,視訊中包含了從0開始逐行程式碼實現FFMpeg視訊開發的過程,歡迎觀看!連結地址:FFMpeg視訊開發與應用基礎——使用FFMpeg工具與SDK

ROS Learning-010 beginner_Tutorials 編寫簡單的啟動指令碼檔案(.launch 檔案

ROS Indigo beginner_Tutorials-09 編寫簡單的啟動指令碼檔案 我使用的虛擬機器軟體:VMware Workstation 11 使用的Ubuntu系統:Ubuntu 14.04.4 LTS ROS 版本:ROS Indigo

Modelsim中.do指令碼語言基本介紹

轉載自:http://www.cnblogs.com/LJWJL/archive/2013/01/14/simulation.html 網上的關於DO檔案的編寫好像資料不多,比較雜,所以本人總結一下常用的簡單語法,方便大家檢視。其實本人也剛接觸DO檔案沒多久,有紕漏很正

quartusii 使用ModelSim do檔案實現模擬(Verilog)

QuartusII從9.1之後的版本都已經取消了內部自帶的模擬器,都需要藉助第三方模擬軟體比如Modelsim才能實現模擬。一般在進行程式碼編寫的時候,如果結合功能模擬,可以很快的驗證程式碼實現的邏輯是否滿足要求。所以熟練使用Modelsim也是邏輯工程師必須掌握的一個技能。由於Modelsim可以支援命令

Modelsim模擬的Run.do指令碼模板

#QUARTUS #此處是註釋 #退出當前模擬功能 quit -sim #清楚命令列顯示資訊 .main clear vlib ./lib vlib ./lib/work vmap

linux配置網路的簡單範例

先到根目錄下開啟這個檔案 vi /etc/sysconfig/network-scripts/ifcfg-ens33 修改範例 TYPE="Ethernet"BOOTPROTO="static" (靜態) IPADDR=192.168.100.22 (這個虛擬機器的IP) NETMASK

如何在Linux系統中編寫指令碼檔案自動執行

        在叢集的部署和啟動過程中,往往要執行叫較多的命令,特別是已經部署成功在啟動的時候,總有一些初始化的工作要做。這個時候如果還是選擇一個一個去依次執行未免有些太浪費時間,因此選擇了指令碼的方式。並且指令碼的方式也便於向

BAT指令碼編寫簡單入門-常用命令

命令視窗常用命令 1、cd 命令 cd //顯示當前目錄 cd .. //退出到上一個目錄 cd /d D: //進入上次D盤所在目錄 cd /d d:\ //進入D盤根

Vscode DOS和UNIX指令碼檔案之間相互轉換的多種方法

DOS和UNIX指令碼檔案之間的轉換 DOS和UNIX指令碼檔案之間的轉換 vscode dos2unix perl vim awk sed tr

樹莓派自啟動python指令碼檔案經驗總結

因為專案的需要樹莓派開機自啟動python檔案,自己大概試了多種方法才成功。 首先我這裡的python指令碼檔案是不斷迴圈執行的,而且要啟動4個python指令碼檔案,我這裡重點寫一下網上常用的2中方法。 1.桌面自啟動(必須是利用桌面執行):在.config檔案中的autostart檔案(

tomcat批量部署指令碼指令碼較為簡單

#!/bin/bash #-*- coding: utf-8 -*- #Date: 2018/08/15 #Author: Mr.yang #指令碼簡單,需要寫好配置檔案 #適用jdk1.6及1.8版本 tomcat6="下載路徑" #可根據需要填寫(若上傳至伺服器則無需填寫,同時下方wg

除錯經驗——使用程式碼操作sql指令碼檔案

今天發現了一種有趣的.sql指令碼編輯方式:直接在SQLPLUS通過程式碼操作。 SQL> list 1* select &a from dual SQL> append order by 1* select &a from dualorder by

shell指令碼執行已有的其他指令碼檔案

工作中常遇到一些資料問題,需要各種指令碼去處理,各種先後順序,還要處理多個庫,還會遇到某個指令碼處理某個庫時報錯需要重新處理的問題,因此用一個shell把它們串起來就比較方便了 1.多個庫執行同一個指令碼 #/bin/bash city_array=('bj' 'sh' 'tj' )

jquery ajax提交檔案簡單處理

在ajax的提交方式中有兩種 get post方式 普通的文字引數傳遞後臺,get post 均可(post需要特殊處理),然後檔案的上傳只能通過post方式,這就造成,在普通的檔案上傳form中,servlet用getParamter()方法是取不到值的,其它框架未試驗;此時需要對普通文字引數

JAVA使用Runtime.getRuntime()執行python指令碼檔案

java呼叫python(含anaconda) 注意: 1、python指令碼必須都用的是絕對路徑(可以拼接) 2、python指令碼呼叫自定義的模組時要將模組路徑新增到環境中。如果用sys.append(模組絕對路徑),要每一個指令碼都要新增專案所在的路徑。 cmd命令列執行:&n

js下載檔案簡單操作

https://blog.csdn.net/zhoumengshun/article/details/71405963 版權宣告:本文為博主原創文章,未經博主允許不得轉載。    https://blog.csdn.net/zhoumengshun/article/

一個小坑: -bash: ./backup.sh: /bin/bash^M: bad interpreter: No such file or directory 由於shell指令碼檔案被我在Windows下編輯過,出現上面錯誤的原因之一是指令碼檔案是DOS格式的, 即每一行的行尾以\r\n來標識

    由於shell指令碼檔案被我在Windows下編輯過,出現上面錯誤的原因之一是指令碼檔案是DOS格式的, 即每一行的行尾以\r\n來標識, 使用vim編輯器開啟指令碼, 執行::set ff? 可以看到DOS或UNIX的字樣. 使用se

ajaxFileUpload上傳檔案簡單示例

寫在前面:   上傳檔案的方式有很多,最近在做專案的時候,一開始也試用了利用jquery的外掛ajaxFileUpload來上傳大檔案,下面,用一個上傳檔案的簡單例子,記錄下,學習的過程~~~   話不多說,直接上程式碼:   前臺jsp頁面:` <

清理日誌的指令碼檔案(py字尾)編寫

一個清理日誌的指令碼檔案編寫 linux的伺服器執行服務的時候會產生日誌檔案,如果不管日誌檔案那麼就會佔用空間,長期下去會導致伺服器空間不夠,我們應該把不必要的檔案進行刪除 一般配合著定時指令碼去處理,